RIT Annual Microelectronic Engineering Conference

RIT's Annual Microelectronic Engineering Conference brings together students, faculty, alumni, friends and industry guests interested in microelectronic engineering. Key elements of the conference are technical presentations by students, industry and alumni presentations, and industry feedback on student and academic program activities.


Reserve your spot today.

Registration is required for both the conference and the dinner. Register below for both. 

Register Here

Student Registration

Students, a student discount is available to you. Please contact Dr. Robert Pearson to register. 

Conference

A panel of people sitting at a long table and speaking into microphones along with a mediator at a podium.

Annual Microelectronic Engineering Conference at RIT

The Annual Microelectronic Engineering Conference (AMEC) at RIT started in 1983 as a means of bringing together students, faculty, alumni, and industry interested in microelectronic engineering. Students, alumni, and industry colleagues share research and industry trends. 

Dinner

Two people talking and laughing at a reception.

Reception & Dinner

Connect with old friends and colleagues over hors d'oeuvres and dinner as we celebrate ground breaking microelectronic engineering education. 

Honoring Dr. Lynn Fuller

Dr. Lynn Fuller retired in the spring of 2021. Each year the Microelectronic Engineering Conference features the presentation of the Lynn Fuller Scholar Award.

Honor Dr. Fuller by giving to the Dr. Lynn Fuller Endowed Student Support Fund and share your memories of him.

Honor Dr. Fuller

History

Two engineers in clean room suits. One is standing across from the other one who is sitting and pointing to a computer chip wafer.

RIT's Microelectronic Engineering BS program was formed in 1982 in response to the semiconductor industry's need for entry level process engineers. The U.S. semiconductor industry led the world in intellectual property in the early 1980s but faced serious manufacturing challenges from abroad. RIT was uniquely positioned to provide the type of highly trained process engineers needed in wafer manufacturing. RIT had expertise in the imaging, optics, and chemistry demanded in the photolithographic processes used to produce the ever shrinking circuitry. RIT also had strong electrical and computer engineering programs and one of the largest and oldest cooperative education programs in the country. Combining these strengths led to the creation of this unique program and curriculum. Undergraduate courses in photolithography, thin films, IC Processing, CMOS Manufacturing, Design of Experiments, and many more were developed. 

In 1985, RIT was able to construct a 15,000 sq. ft. cleanroom and fit it with equipment donated by our industrial affiliates. A Master of Engineering, Master of Science and later a Ph.D. degree in Microsystems Engineering were added to the RIT degree portfolio - including an online ME program starting in the 1990s. 

Annual Microelectronic Engineering Conference Archives

Lodging

We welcome all microelectronic engineering alumni, colleagues, and friends, near and far, to join the conference. For those traveling to Rochester, lodging is conveniently available at the RIT Inn & Conference Center:

RIT Inn & Conference Center 

Contact Us

Kevin Sackett
Senior Director of Advancement
585-475-6711
Robert Pearson
Associate Professor
585-475-2923
Karl Hirschman
Professor
585-475-5130